Z80

Zilog Z80
Z80 (z června 1976)
Z80 (z června 1976)
Specifikace
NávrhFederico Faggin, Masatoshi Shima
Uvedeníbřezen 1976
VýrobciZilog, Mostek, Synertek, SGS-Thomson, NEC, Sharp, Toshiba, Rohm, GoldStar (LG Group), Hitachi, National Semiconductor a další
Výrobní proces4μm
Frekvence2,5; 4; 6; 8; 10 MHz; CMOS až 20 MHz, binárně kompatibilní (od Zilogu) až 33 nebo 50 MHz
Šířka datové sběrnice8 bitů[1]
Šířka adresní sběrnice16 bitů[1]
Patice40-pin DIP
Т34ВМ1, sovětský klon Z80.
Klon vyráběný firmou SHARP.
LQFP verze procesoru Z80.

Zilog Z80 je osmibitový mikroprocesor, navržený firmou Zilog a prodávaný od července 1976. Byl široce používán jak ve stolních počítačích tak pro armádní účely. Z80 a jeho klony tvoří jednu z nejvíce používaných CPU sérií všech dob a společně se sérií MOS Technology 6502 dominoval trhu s osmibitovými počítači od začátku 70. až do poloviny 80. let 20. století.

Zilog licencoval design Z80 několika dodavatelům a mnoho východoevropských a ruských výrobců vyrábělo nelicencované kopie (U880D v počítačích Robotron). To umožnilo výrobkům menších společností prosadit se na trhu vedle větších společností, jako třeba Toshiba. V důsledku toho Zilog, od uvedení procesoru na trh, vyrobil méně než polovinu procesorů Z80. V posledních desetiletích se Zilog soustředí na stále rostoucí trh s vestavěnými systémy (pro který jsou učeny původní Z80 a Z180) a na nejnovější z rodiny mikrokontrolérů, Z80-kompatibilní.

Ačkoli už dříve Zilog uskutečnil pokusy s pokročilými mikropočítači, než byly architektury Z80 (Z800 a Z280), tyto čipy se nikdy neuchytily. Společnost se také snažila proniknout na trh s pracovními stanicemi se svým procesorem Z8000 a 32bitovým Z80000 (ani jeden nesouvisí s 8bitovým procesorem Z80).

Společnost Sharp vyrobila funkční skleněnou variantu procesoru Z80.[2]

Stručná historie a přehled

Z80 se objevil, když Federico Faggin, po práci na procesoru Intel 8080, opustil společnost Intel a na konci roku 1974 vstoupil do firmy Zilog. Společně s Raplhem Ungermanem uvedli v červenci 1976 procesor Z80 na trh. Byl navržen, aby byl zpětně kompatibilní s procesorem Intel 8080, takže operační systém CP/M mohl fungovat bez jakýchkoli dalších úprav. K vývoji procesoru Z80 přispěl také další z návrhářů procesorů Intel 40048080, Masatoshi Shima.

Patrně nejslavnější použití a boom přinesla procesoru řada domácích počítačů Sinclair ZX81 a Sinclair ZX Spectrum. Později ho firma SEGA nasadila v herních konzolích Master SystemGame Gear. Firma Nintendo použila v konzolích Game BoyGame Boy Color klon procesoru Z80 od firmy Sharp. Procesor Z80 byl také použit v japonském domácím počítači Sord M5. Mezi další počítače, ve kterých byl použit patří TRS-80 (modely 1 – 4), Commodore 128D, Franklin Ace 1200, Osborne 1, KayPro I a KayPro II.[3][p 1] V herních automatech a konzolích Neo Geo je využitý jako koprocesor řídící zvukový systém.[4]Československu byly na základě Z80 vyráběny počítače OndraDidaktik.

V současnosti je Z80 stále používán např. ve vestavěných systémech, mikrokontrolérech nebo v elektronice (například programovatelné kalkulátory, tiskárny, syntezátory či MP3 přehrávače atd.). Je také využíván pro různé domácí a školní projekty a výukové pomůcky.[5][6][7]

Z80 nabízí mnoho skutečných vylepšení oproti 8080:

  • Rozšířená instrukční sada obsahující blokové operace, manipulace s bity a relativní skoky
  • Nové indexové registry IX a IY s podporou adresování base+offset
  • Lepší systém přerušení
    • Více automatický a obecně vektorizovaný systém přerušení, mode 2, a systém s pevným vektorem přerušení, mode 1, pro jednoduché systémy s minimálním hardwarem (mode 0 je kompatibilní s Intel 8080).
    • Nemaskovatelné přerušení (NMI – Non Maskable Interrupt), může být použito v případě výpadku napájení a/nebo při jiné události s vysokou prioritou (umožňuje minimalistickému systému Z80 snadno implementovat dvouúrovňové schéma přerušení v mode 1).
    • Dva soubory registrů, mezi kterými lze rychle přepínat, což umožňuje zrychlit reakci na přerušení.
  • Menší požadavky na hardware.
    • Jeden 5V zdroj napájení (procesor 8080 potřeboval +5V/-5V/+12V).
    • Jednofázové 5V hodiny (procesor 8080 potřeboval dvoufázový generátor hodin s velkou amplitudou).
    • Vestavěný obnovovací mechanismus pro paměti DRAM, který by jinak musel být realizován externími obvody.
    • Nemultiplexovaná sběrnice

Z80 vystřídal procesor 8080 a jeho nástupce 8085, na trhu s procesory a stal se jedním z nejpopulárnějších 8bitových CPU. Možná, že klíčem, který zapříčinil úspěch procesoru Z80, byl zabudovaný DRAM refresh a ostatní funkce, které dovolovaly systému aby byl postaven s menším počtem podpůrných čipů (později, většinu Z80 systémů představovaly vestavěné systémy, které typicky používaly statickou RAM, a proto nepotřebovaly tento refresh).

Podle originálního designu NMOS se určená horní hranice frekvence postupně zvyšuje z původních 2,5 MHz, přes dobře známé 4 MHz (Z80A), až na 6 MHz (Z80B) a 8 MHz (Z80H). CMOS verze byla také vyvinuta se stanovenými limity frekvencí v rozsahu od 4 MHz až do 20 MHz pro verzi, která se prodává dnes. CMOS verze také umožňovala spánek s nízkou spotřebou se zachováním vnitřních stavů (nemá žádnou dolní hraniční frekvenci). Plně kompatibilní s deriváty HD64180/Z180 a eZ80, ty jsou v současné době určené až pro 33 MHz a 50 MHz.

Procesor umožňuje adresovat 64 KiB paměti, která může být libovolného typu, RAM i ROM. Pokud je vyžadováno více dostupné paměti, než 64 KiB, je nutné použít techniku stránkování paměti, která je použita mimo jiné u počítačů Sinclair ZX Spectrum 128, Didaktik Gama, či v herních automatech a konzolích Neo Geo.[8][9] K dispozici je oficiálně 256 vstupně/výstupních portů (8bitové adresování), chování procesoru umožňuje adresovat až 65536 portů (16bitové adresování), při adresování 65536 portů ale nelze použít instrukce blokových vstupně/výstupních operací, protože registr B umožňující 16bitové adresování je u těchto instrukcí využit jako čítač přenesených bajtů.[10]

Toshiba, jakožto licencovaný výrobce, integrovala některé periferní obvody z rodiny procesoru Z80 do společného pouzdra s vlastním procesorem, tyto kombinované procesory později převzal Zilog do svého výrobního programu také.[11]

Technický popis

Programovací model a sada registrů

Programovací model a sada registrů jsou konvenční a díky odvození ze stejného předchůdce (Intel 8080) se podobají rodině x86. Procesor Z80 má zdvojenou sadu hlavních registrů, což spolu s rychlými instrukcemi pro přepínání obou sad umožňuje rychle reagovat na jednoúrovňové přerušení s vysokou prioritou. Tato vlastnost byla představena v Datapoint 2200, ale v procesoru 8008 nebyla Intelem implementována. Tato vlastnost usnadňuje použití Z80 ve vestavěných systémech, pro které byla většina prvních mikroprocesorů určena spíše než pro použití v osobních počítačích. Podle jednoho z designérů, Masatoshi Shima, se trh zaměřil na vysoce výkonné tiskárny, pokladny a inteligentní terminály. Zdvojená sada registrů však byla také využívána pro vysoce optimalizované, ručně kódované programy. Některý software, hlavně hry pro MSX, Sinclair ZX Spectrum a další počítače založené na Z80, které používaly kód optimalizovaný na extrémní úroveň, využívaly mimo jiné i zdvojenou sadu registrů.

Registry

Registry mikroprocesoru Z80
15141312111009080706050403020100(číslo bitu)
Hlavní registry
AFlagsAF (akumulátor a příznaky)
BCBC
DEDE
HLHL (nepřímá adresa)
Alternativní registry
A'Flags'AF' (akumulátor a příznaky)
B'C'BC'
D'E'DE'
H'L'HL' (nepřímá adresa)
Indexregistry
IXIndex X
IYIndex Y
SPStack Pointer, ukazatel zásobníku
Další registry
 IInterrupt vector, vektor přerušení
 RRefresh counter, čítač pro občerstvování paměti
Programový čítač
PCProgram Counter, programový čítač
Stavový registr
 SZ-H-PNCFlags

Stejně jako v procesoru 8080, 8bitové registry jsou většinou spojeny, aby poskytovaly 16bitovou verzi. Kompatibilní registry procesoru 8080 jsou:

  • AF: 8bitový akumulátor (A) a bitové příznaky (F) přenos, nula, znaménko, parita/přetečení, poloviční přenos (používaný pro BCD operace), a příznak sčítání/odčítání (obvykle nazývaný N) také pro BCD operace
  • BC: 16bitový datový/adresní registr nebo dva 8bitové registry
  • DE: 16bitový datový/adresní registr nebo dva 8bitové registry
  • HL: 16bitový akumulátor/adresní registr nebo dva 8bitové registry
  • SP: ukazatel zásobníku (stack pointer), 16 bitů
  • PC: programový čítač (program counter), 16 bitů

Nové registry zavedené v Z80 jsou:

  • IX: 16bitový index nebo bázový registr pro 8bitová přímá posunutí
  • IY: 16bitový index nebo bázový registr pro 8bitová přímá posunutí
  • I: bázový registr přerušovacího vektoru, 8 bitů
  • R: čítač pro občerstvování pamětí DRAM, 8 bitů
  • AF´: alternativní (nebo stínový) akumulátor a flag (přepínatelný instrukcí EX AF, AF´)
  • BC´, DE´ a HL´: alternativní (nebo stínový) registr (přepínatelné instrukcí EXX)
  • Čtyři bity pro stav přerušení a režim přerušení

Alternativní registry nejsou přímo přístupné, místo toho jsou použity dvě speciální instrukce, EX AF, AF' a EXX, které pouze přepínají klopný obvod vybírající registr A a příznaky nebo zbytek (registry BC, DE a HL) sady registrů. To umožňuje rychlé prohození kontextu pro obsluhu přerušení: instrukce EX AF, AF´ může být použita samostatně (pro opravdu jednoduché a rychlé přerušení) nebo spolu s EXX aby se vyměnila celá sada AF, BC, DE, HL. Tento postup je mnohonásobně rychlejší, než uložení registrů na zásobník (které lze používat pro pomalejší obsluhu víceúrovňových přerušení s nižší prioritou).

Refresh registr, R, se zvyšuje pokaždé, když CPU vykoná opcode (operační kód) nebo opcode prefix a proto nemá žádný přímý vztah s vykonáváním programu. Proto se někdy používá pro generování pseudonáhodných čísel ve hrách a také ve schématech ochrany softwaru. V některých návrzích je také použit jako „hardwarový“ čítač, slavný příklad toho je ZX81, který umožňuje sledovat pozici písmene na televizní obrazovce tím, že generuje přerušení při přetočení čítače (propojením A6 na vstup INT).

Registr I je určený pro vyšší byte adresy tabulky obsluh přerušení ve speciálním režimu přerušení číslo 2 (do něhož se přepíná instrukcí IM 2). Nižší byte adresy dodá zařízení v cyklu potvrzení přerušení. Tento režim umožňuje používat až 128 obslužných rutin přerušení, přičemž priorita může být určena pořadím zapojení zařízení v řetězci. I tento registr se někdy používá velmi kreativně; v režimech přerušení 0 a 1 může být použit jednoduše jako další 8bitový datový registr.

Z80 - jazyk symbolických adres

Datapoint 2200 a Intel 8008

První jazyk symbolických adres (dále jen assembler) pro Intel 8008 byl založen na velmi jednoduché (ale systematické) syntaxi, zděděné od Datapointu 2200. Pro původní čip Intel 8008 byla později tato originální syntaxe změněna na novou, poněkud tradičnější formu assembleru. V tu samou dobu byl assembler rozšířen, aby se přizpůsobil přidání nových adresních možností ve více pokročilém čipu Intel 8080 (Intel 8008 a 8080 sdílely jazykovou podskupinu bez toho aby byly binárně kompatibilní, nicméně, Intel 8008 byl binárně kompatibilní s Datapointem 2200).

V tomto procesu, symbolický název (neboli mnemonická zkratka) L, pro LOAD, byla nahrazena různými zkratkami slov LOAD, STORE a MOVE, a ty byly smíchány s ostatními symbolickými písmeny. Symbolický název písmene M, pro paměť, byl odstraněn ze symbolických instrukcí, aby se stal syntakticky samostatným operandem, zatímco registry a kombinace registrů byly velmi rozporuplně označeny. Buď zkrácením operandu (MVI D, LXI H a podobně) nebo v rámci vlastních symbolických instrukcí (LDA, LHLD a podobně) nebo obojím najednou (LDAX B, STAX D a podobně).

Datapoint 2200 a i8008i8080Z80i8086/i8088
před rokem 1973~197419761978
LBCMOV B,CLD B,CMOV BL,CL
--LDAX BLD A,(BC)MOV AL,[BX]
LAMMOV A,MLD A,(HL)MOV AL,[BP]
LBMMOV B,MLD B,(HL)MOV BL,[BP]
--STAX DLD (DE),A--
LMAMOV M,ALD (HL),AMOV [BP],AL
LMCMOV M,CLD (HL),CMOV [BP],CL
LDI 56MVI D,56LD D,56MOV DL,56
LMI 56MVI M,56LD (HL),56MOV byte ptr [BP],56
--LDA 1234LD A,(1234)MOV AL,[1234]
--STA 1234LD (1234),AMOV [1234],AL
----LD B,(IX+56)MOV BL,[SI+56]
----LD (IX+56),CMOV [SI+56],CL
----LD (IY+56),78MOV byte ptr [DI+56],78
--LXI B,1234LD BC,1234MOV BX,1234
--LXI H,1234LD HL,1234MOV BP,1234
--SHLD 1234LD (1234),HLMOV [1234],BP
--LHLD 1234LD HL,(1234)MOV BP,[1234]
----LD BC,(1234)MOV BX,[1234]
----LD IX,(1234)MOV SI,[1234]

Ukázka čtyř syntaxí, používajících vzorky ekvivalentní nebo (pro 8086) velmi podobné LOAD a STORE instrukcím.

Nová syntaxe

Protože Intel prohlašoval, že vlastní práva na symbolické názvy, musela být v assembleru vyvinuta nová syntaxe. Tentokrát byl použit mnohem systematičtější přístup:

  • Všechny registry, včetně párových registrů jsou explicitně označeny jejich celými jmény.
  • Závorky jsou běžně použity k označení "obsahu paměti", s výjimkou nějakých skokových instrukcí.
  • Všechny LOAD a STORE instrukce používají stejný symbolický název, LD pro LOAD (byl to návrat ke zjednodušenému slovníku z Datapointu 2200). Ostatní běžné instrukce, jako například ADD a INC, používaly stejný symbolický název, bez ohledu na adresní režim nebo velikost operandu. To je možné právě proto, že operand už sám o sobě obsahuje dostatek informací.

Tyto zásady umožňovaly přímočaře zjistit jména a tvary všech instrukcí procesoru Z80. Naopak je ale nutné, aby si uživatel pamatoval omezení některých instrukcí, kdy například instrukce LD umožňuje osmibitový přenos mezi libovolnými dvěma registry,[p 2] zatímco šestnáctibitový přenos je možný pouze mezi některými dvojicemi a tak třeba instrukce LD BC,HL neexistuje.[12]

S výjimkou pojmenování rozdílů, a navzdory určitému rozporu v základní registrové struktuře, syntaxe Z80 a 8086 je v podstatě izomorfní pro velkou část instrukcí. V assembleru pro 8080 a 8086 existují pouze docela nedůležité podobnosti (jako například slovo MOV, nebo písmeno X pro rozšířené registry). Programy pro procesor 8080 mohou být přeloženy do strojového kódu pro procesor 8086 pomocí speciálního assembleru nebo přeloženy do jazyka symbolických adres pomocí překladatelského programu.

Instrukční sada a kódování

Procesor Z80 používá 252 z 256 možných kódů jednotlivých bajtů opcodu (operační kód – "kořenové instrukce"). Čtyři zbývající kódy jsou značně používané jako opcode prefix. CB a ED povolí speciální instrukce a DD nebo FD vyberou IX+d nebo IY+d příslušně (v některých případech bez posunutí "d") v místě HL. Toto schéma dává procesoru Z80 velké množství permutací instrukcí a registrů. Zilog je kategorizuje do 158 různých instrukčních typů, 78 z nich jsou ty samé jako u procesoru Intel 8080 (umožňují provoz programů z procesoru 8080 na procesoru Z80). Dokumentace k Z80 dělí skupiny instrukcí do následujících kategorií:

  • 8bitové aritmeticko-logické operace
  • 16bitová aritmetika
  • 8bitový load
  • 16bitový load
  • Nastavení bitů, resetování a testování
  • Call, return a restart
  • Výměna, transfer bloků a vyhledávání
  • Základní účel aritmetiky a řízení CPU
  • Vstup a výstup
  • Skoky
  • Rotace a posun

V originálním procesoru Z80 nejsou dostupné několikanásobné instrukce. Rozdílné velikosti a varianty sčítání, posunu a rotace mají někdy rozdílné účinky na příznaky, a to proto, že z procesoru 8080 byly zkopírovány příznaky ovlivňující vlastnosti. Instrukce LOAD neovlivňuje příznaky (s výjimkou LOAD registru I a R, které jsou pro zvláštní účely). Instrukce index registru jsou užitečné pro snížení délky kódu a zatímco některé nejsou o mnoho rychlejší než ekvivalentní sekvence jednodušších operací, nepřímo šetří čas pro vykonání instrukce tím, že snižuje potřebu uložit a obnovit registry. O deset let mladší design Z180 si mohl ze začátku dovolit více "čipového prostoru", který umožňuje o něco účinnější implementaci (mimo jiné používá širší ALU). Podobné věci mohou být řečeny o Z800, Z280Z380. Nicméně až roku 2001, když byl vydán procesor eZ80 umožňující plné zřetězení instrukcí (neboli Pipelining), se jim tyto instrukce konečně přiblížily svou efektivitou v cyklech jak jen to bylo technicky možné, to jest vzhledem ke kódování v Z80 kombinovaném se schopností realizovat 8bitové čtení nebo zápis v každém hodinovém cyklu. Stejně tak instrukce pro 16bitové sčítání nejsou v originálním procesoru Z80 příliš rychlé (11 hodinových cyklů). Nicméně, jsou asi dvakrát rychlejší, než když se vykonávají ty samé výpočty pomocí 8bitových operací. A stejně tak důležité je, že snižují množství operací s registry.

Seznam instrukcí

Některé instrukce vyžadují, aby byly následovány číselnými operandy. Typ vyžadovaného operandu je označen následujícím způsobem:

  • N – instrukce je následována bezznaménkovým osmibitovým operandem,
  • NN – instrukce je následována bezznaménkovým šestnáctibitovým operandem,
  • ±N – instrukce je následována znaménkovým osmibitovým operandem.

Některé instrukce se z konstrukčních důvodů nacházejí v instrukční sadě několikrát, např. instrukce ld hl,(NN) a ld (NN),hl, a jejich jednotlivé varianty se liší způsobem interní realizace a dobou jejich vykonání.[13] Ze stejných důvodů se v instrukční sadě nacházejí také instrukce, které nedělají žádnou smysluplnou akci, např. instrukce ld a,a.[14] Počítač Sprinter využívá ve svém hardwaru těchto "nic nedělajících" instrukcí k ovládání hardwarového akcelerátoru.[15]

desítkověšestnáctkovězákladní instrukceinstrukce po prefixu CBinstrukce po prefixu ED
000noprlc b
101ld bc,NNrlc c
202ld (bc),arlc d
303inc bcrlc e
404inc brlc h
505dec brlc l
606ld b,Nrlc (hl)
707rlcarlc a
808ex af,af'rrc b
909add hl,bcrrc c
100Ald a,(bc)rrc d
110Bdec bcrrc e
120Cinc crrc h
130Ddec crrc l
140Eld c,Nrrc (hl)
150Frrcarrc a
1610djnz ±Nrl b
1711ld de,NNrl c
1812ld (de),arl d
1913inc derl e
2014inc drl h
2115dec drl l
2216ld d,Nrl (hl)
2317rlarl a
2418jr ±Nrr b
2519add hl,derr c
261Ald a,(de)rr d
271Bdec derr e
281Cinc err h
291Ddec err l
301Eld e,Nrr (hl)
311Frrarr a
3220jr nz,±Nsla b
3321ld hl,NNsla c
3422ld (NN),hlsla d
3523inc hlsla e
3624inc hsla h
3725dec hsla l
3826ld h,Nsla (hl)
3927daa[p 3]sla a
4028jr z,±Nsra b
4129add hl,hlsra c
422Ald hl,(NN)sra d
432Bdec hlsra e
442Cinc lsra h
452Ddec lsra l
462Eld l,Nsra (hl)
472Fcplsra a
4830jr nc,±Nslia b[p 4]
4931ld sp,NNslia c[p 4]
5032ld (NN),aslia d[p 4]
5133inc spslia e[p 4]
5234inc (hl)slia h[p 4]
5335dec (hl)slia l[p 4]
5436ld (hl),Nslia (hl)[p 4]
5537scfslia a[p 4]
5638jr c,±Nsrl b
5739add hl,spsrl c
583Ald a,(NN)srl d
593Bdec spsrl e
603Cinc asrl h
613Ddec asrl l
623Eld a,Nsrl (hl)
633Fccfsrl a
6440ld b,bbit 0,bin b,(c)
6541ld b,cbit 0,cout (c),b
6642ld b,dbit 0,dsbc hl,bc
6743ld b,ebit 0,eld (NN),bc
6844ld b,hbit 0,hneg
6945ld b,lbit 0,lretn
7046ld b,(hl)bit 0,(hl)im 0
7147ld b,abit 0,ald i,a
7248ld c,bbit 1,bin c,(c)
7349ld c,cbit 1,cout (c),c
744Ald c,dbit 1,dadc hl,bc
754Bld c,ebit 1,eld bc,(NN)
764Cld c,hbit 1,hneg[p 5]
774Dld c,lbit 1,lreti
784Eld c,(hl)bit 1,(hl)im 0/1[p 5]
794Fld c,abit 1,ald r,a
8050ld d,bbit 2,bin d,(c)
8151ld d,cbit 2,cout (c),d
8252ld d,dbit 2,dsbc hl,de
8353ld d,ebit 2,eld (NN),de
8454ld d,hbit 2,hneg[p 5]
8555ld d,lbit 2,lretn[p 5]
8656ld d,(hl)bit 2,(hl)im 1
8757ld d,abit 2,ald a,i
8858ld e,bbit 3,bin e,(c)
8959ld e,cbit 3,cout (c),e
905Ald e,dbit 3,dadc hl,de
915Bld e,ebit 3,eld de,(NN)
925Cld e,hbit 3,hneg[p 5]
935Dld e,lbit 3,lretn[p 5]
945Eld e,(hl)bit 3,(hl)im 2
955Fld e,abit 3,ald a,r
9660ld h,bbit 4,bin h,(c)
9761ld h,cbit 4,cout (c),h
9862ld h,dbit 4,dsbc hl,hl
9963ld h,ebit 4,eld (NN),hl
10064ld h,hbit 4,hneg[p 5]
10165ld h,lbit 4,lretn[p 5]
10266ld h,(hl)bit 4,(hl)im 0[p 5]
10367ld h,abit 4,arrd
10468ld l,bbit 5,bin l,(c)
10569ld l,cbit 5,cout (c),l
1066Ald l,dbit 5,dadc hl,hl
1076Bld l,ebit 5,eld hl,(NN)
1086Cld l,hbit 5,hneg[p 5]
1096Dld l,lbit 5,lretn[p 5]
1106Eld l,(hl)bit 5,(hl)im 0/1[p 5]
1116Fld l,abit 5,arld
11270ld (hl),bbit 6,bin (c)[p 6]
11371ld (hl),cbit 6,cout (c),0[p 5]
11472ld (hl),dbit 6,dsbc hl,sp
11573ld (hl),ebit 6,eld (NN),sp
11674ld (hl),hbit 6,hneg[p 5]
11775ld (hl),lbit 6,lretn[p 5]
11876haltbit 6,(hl)im 1[p 5]
11977ld (hl),abit 6,anop[p 5]
12078ld a,bbit 7,bin a,(c)
12179ld a,cbit 7,cout (c),a
1227Ald a,dbit 7,dadc hl,sp
1237Bld a,ebit 7,eld sp,(NN)
1247Cld a,hbit 7,hneg[p 5]
1257Dld a,lbit 7,lretn[p 5]
1267Eld a,(hl)bit 7,(hl)im 2[p 5]
1277Fld a,abit 7,anop[p 5]
12880add a,bres 0,b
12981add a,cres 0,c
13082add a,dres 0,d
13183add a,eres 0,e
13284add a,hres 0,h
13385add a,lres 0,l
13486add a,(hl)res 0,(hl)
13587add a,ares 0,a
13688adc a,bres 1,b
13789adc a,cres 1,c
1388Aadc a,dres 1,d
1398Badc a,eres 1,e
1408Cadc a,hres 1,h
1418Dadc a,lres 1,l
1428Eadc a,(hl)res 1,(hl)
1438Fadc a,ares 1,a
14490sub bres 2,b
14591sub cres 2,c
14692sub dres 2,d
14793sub eres 2,e
14894sub hres 2,h
14995sub lres 2,l
15096sub (hl)res 2,(hl)
15197sub ares 2,a
15298sbc a,bres 3,b
15399sbc a,cres 3,c
1549Asbc a,dres 3,d
1559Bsbc a,eres 3,e
1569Csbc a,hres 3,h
1579Dsbc a,lres 3,l
1589Esbc a,(hl)res 3,(hl)
1599Fsbc a,ares 3,a
160A0and bres 4,bldi
161A1and cres 4,ccpi
162A2and dres 4,dini
163A3and eres 4,eouti
164A4and hres 4,h
165A5and lres 4,l
166A6and (hl)res 4,(hl)
167A7and ares 4,a
168A8xor bres 5,bldd
169A9xor cres 5,ccpd
170AAxor dres 5,dind
171ABxor eres 5,eoutd
172ACxor hres 5,h
173ADxor lres 5,l
174AExor (hl)res 5,(hl)
175AFxor ares 5,a
176B0or bres 6,bldir
177B1or cres 6,ccpir
178B2or dres 6,dinir
179B3or eres 6,eotir
180B4or hres 6,h
181B5or lres 6,l
182B6or (hl)res 6,(hl)
183B7or ares 6,a
184B8cp bres 7,blddr
185B9cp cres 7,ccpdr
186BAcp dres 7,dindr
187BBcp eres 7,eotdr
188BCcp hres 7,h
189BDcp lres 7,l
190BEcp (hl)res 7,(hl)
191BFcp ares 7,a
192C0ret nzset 0,b
193C1pop bcset 0,c
194C2jp nz,NNset 0,d
195C3jp NNset 0,e
196C4call nz,NNset 0,h
197C5push bcset 0,l
198C6add a,Nset 0,(hl)
199C7rst 0set 0,a
200C8ret zset 1,b
201C9retset 1,c
202CAjp z,NNset 1,d
203CBPREFIX CBset 1,e
204CCcall z,NNset 1,h
205CDcall NNset 1,l
206CEadc a,Nset 1,(hl)
207CFrst 8set 1,a
208D0ret ncset 2,b
209D1pop deset 2,c
210D2jp nc,NNset 2,d
211D3out (N),aset 2,e
212D4call nc,NNset 2,h
213D5push deset 2,l
214D6sub Nset 2,(hl)
215D7rst 16set 2,a
216D8ret cset 3,b
217D9exxset 3,c
218DAjp c,NNset 3,d
219DBin a,(N)set 3,e
220DCcall c,NNset 3,h
221DDPREFIX IXset 3,l
222DEsbc a,Nset 3,(hl)
223DFrst 24set 3,a
224E0ret poset 4,b
225E1pop hlset 4,c
226E2jp po,NNset 4,d
227E3ex (sp),hlset 4,e
228E4call po,NNset 4,h
229E5push hlset 4,l
230E6and Nset 4,(hl)
231E7rst 32set 4,a
232E8ret peset 5,b
233E9jp (hl)set 5,c
234EAjp pe,NNset 5,d
235EBex de,hlset 5,e
236ECcall pe,NNset 5,h
237EDPREFIX EDset 5,l
238EExor Nset 5,(hl)
239EFrst 40set 5,a
240F0ret pset 6,b
241F1pop afset 6,c
242F2jp p,NNset 6,d
243F3diset 6,e
244F4call p,NNset 6,h
245F5push afset 6,l
246F6or Nset 6,(hl)
247F7rst 48set 6,a
248F8ret mset 7,b
249F9ld sp,hlset 7,c
250FAjp m,NNset 7,d
251FBeiset 7,e
252FCcall m,NNset 7,h
253FDPREFIX IYset 7,l
254FEcp Nset 7,(hl)
255FFrst 56set 7,a

Instrukce s prefixem ED v rozsazích 00-3F A 80-FF šestnáctkově s výjimkou instrukcí pro blokové přenosy nedělají žádnou akci, pouze trvají 8 T-cyklů a zvyšují hodnotu registru R o 2.[17] V instrukčním souboru se nenacházejí instrukce pro násobení. Instrukce pro násobení mlt obsahuje až procesor Z180.[18]

Kompilátory

Protože procesor Z80 je použit v mnoha typech počítačů, pro každý typ existuje kompilátor. Pro počítače Sinclair ZX Spectrum existují kompilátor Gens a Prometheus. Pro operační systém Linux a pro DOS existuje kompilátor Z80-ASM, který kromě podpory samotného procesoru s 64 KiB paměti podporuje i obvod Z80 CTC, rozšířené stránkování paměti a prioritní systém přerušení procesoru Z80.[19] Existuje také online vývojové prostředí a kompilátor ORG.[20]

Poznámky

  1. Počítače Commodore 128D a Franklin Ace 1200 obsahovaly kromě procesoru Z80 ještě procesor 6502.[3]
  2. S výjimkou registrů F, I a R. Obsah registru F nelze instrukcí LD ovlivňovat vůbec a obsah registrů I a R lze přenášet pouze z a do registru A.
  3. Instrukce slouží pro korekci výsledků při počítání v BCD aritmetice a její výsledek závisí na hodnotách příznaků C, H a N.[16]
  4. a b c d e f g h Instrukce bývá pojmenována také jako sll a některé kompilátory ji neumějí překládat.[13]
  5. a b c d e f g h i j k l m n o p q r s t u Jedná se o neoficiální instrukci, která není uvedená v dokumentaci.[17]
  6. Instrukce bývá také označována jako in f,(c)

Reference

  1. a b Zilog. Z80 Family CPU User Manual. [s.l.]: Zilog, 2005. Dostupné online. S. 5. (anglicky) 
  2. Sharp představil procesor Z80 na skle na zive.cz
  3. a b Zilog Z80 microprocessor family na cpu-world.com
  4. Z80 na NeoGeo Development Wiki
  5. Dincer Aydin: Z80 Project na geocities.ws, cit. 2014-11-12
  6. Cvičný modul Z80 na zcu.cz, cit. 2014-11-12
  7. HAJDA, Jiří. Mikropočítač - Dokumentace k maturitní práci. Rožnov pod Radhoštěm, 2001/2002. 18 s. . Střední průmyslová škola elektrotechnická. . Dostupné online. ((česky))
  8. Stránkování pro Spectrum 128/+2/+2A/+3. FIFO. Január 1993, roč. 3, čís. 20, s. 9–10. 
  9. Z80 memory map, Z80 port map na NeoGeo Development Wiki
  10. Port addresses for interfaces and peripherals na piters.tripod.com
  11. Malý, Martin: Klony a procesory na retrocip.cz, 2014-03-07, cit. 2014-03-19
  12. LASOTA, Libor. i8080 - co s ním. PMD-85 ... kultovní (česko)slovenský mikropočítač [online]. 2013-01-23 [cit. 2015-02-12]. Dostupné v archivu pořízeném dne 2016-03-04. 
  13. a b VILÍM, Tomáš. Assembler a ZX Spectrum, 1. díl. Ústí nad Labem: Proxima - Software, 1992. 
  14. Decoding Z80 Opcodes na z80.info
  15. +Gama. Ruské plečky. ZX Magazín. 1999, čís. 3–4, s. 24–27. 
  16. Z80 DAA instruction
  17. a b Zilog Z80A Technical Information - comp.sys.sinclair FAQ na World of Spectrum
  18. Краткий рассказ о возможностях процессора Z-180. ZX Format. Июль 1997, čís. 06. Dostupné online. ((rusky)) 
  19. Z80-ASM na uni-bielefeld.de
  20. ORG na clrhome.org

Literatura

  • ZAKS, Rodnay. Programming the Z80. [s.l.]: Sybex, 1979 (1. vyd.), 1980 (2. vyd.), 1981 (3. vyd.). 624 s. Dostupné online. ISBN 0-89588-047-4, ISBN 0-89588-094-6. ((anglicky)) Kniha vyšla také pod názvem How to program the Z80 s ISBN 0-89588-057-1. Dostupné také na [1]. 

Externí odkazy

Média použitá na této stránce

T34BM1.jpg
The T34VM1, a Soviet Z80 clone. Engineering sample.
Z84C0010FEC LQFP.png
Spare prototype component from a finished project (Modern Z80 CPU in LQFP package).
Sharp LH0080A.jpg
Autor: User Baz1521 on ja.wikipedia, Licence: CC BY-SA 3.0
Sharp LH0080A, a Zilog Z80 clone
Zilog Z80.jpg
Autor: Původně soubor načetl Damicatz na projektu Wikipedie v jazyce angličtina, Licence: CC BY 2.5

( Z80)from July 1976 A very early version of the en:Zilog Z80 — note that the date stamp is from June 1976, and the Z80 was sold from July 1976 onwards.

Photographed by Gennadiy Shvets. Taken from http://www.cpu-world.com/CPUs/Z80/L_Zilog-Z80%20CPU%20(wgg).jpg.

Licence

Email response from Gennadiy Shvets (2006-10-12):

This image, as well as all other images posted on CPU-World (with the exception of images posted in comments or in the forum) were taken by me and have my copyright.
Since the image of white-ceramic Z80 was already uploaded to Wikipedia, why don't you continue using it:
http://www.cpu-world.com/CPUs/Z80/L_Zilog-Z80%20CPU%20(wgg).jpg
I'm ok to license this image to Wikipedia using Creative Common License Attribution 1, Attribution 2 or Attribution 2.5.